; CLW file contains information for the MFC ClassWizard [General Info] Version=1 ClassCount=2 Class1=CMfcextApp LastClass=CDlgContext NewFileInclude2=#include "mfcext.h" ResourceCount=3 NewFileInclude1=#include "stdafx.h" Resource1=IDD_PROP2 Resource2=IDD_PROP Class2=CDlgContext LastTemplate=CDialog Resource3=IDD_CONTEXT [CLS:CMfcextApp] Type=0 HeaderFile=mfcext.h ImplementationFile=mfcext.cpp Filter=N LastObject=CMfcextApp [DLG:IDD_PROP] Type=1 Class=? ControlCount=12 Control1=IDC_STATIC,static,1342177298 Control2=IDC_STATIC,static,1342308352 Control3=IDC_EXT_EDIT_HOME,edit,1350631552 Control4=IDC_STATIC,static,1342177283 Control5=IDC_STATIC,static,1342308352 Control6=IDC_STATIC,static,1342177296 Control7=IDC_STATIC,static,1342308352 Control8=IDC_STATIC,static,1342308352 Control9=IDC_EXT_EDIT_TEAM,edit,1350631552 Control10=IDC_STATIC,button,1342177287 Control11=IDC_STATIC,static,1342308352 Control12=IDC_STATIC_NAME,static,1342308352 [DLG:IDD_PROP2] Type=1 Class=? ControlCount=9 Control1=IDC_STATIC,static,1342177283 Control2=IDC_STATIC,static,1342308352 Control3=IDC_STATIC,static,1342177296 Control4=IDC_STATIC,button,1342177287 Control5=IDC_BUTTON1,button,1342242816 Control6=IDC_BUTTON2,button,1342242816 Control7=IDC_BUTTON3,button,1342242816 Control8=IDC_BUTTON4,button,1342242816 Control9=IDC_BUTTON5,button,1342242816 [DLG:IDD_CONTEXT] Type=1 Class=CDlgContext ControlCount=6 Control1=IDOK,button,1342242817 Control2=IDC_STATIC,static,1342308352 Control3=IDC_STATIC,static,1342177283 Control4=IDC_STATIC,static,1342177296 Control5=IDC_STATIC,static,1342308352 Control6=IDC_LIST_EMAIL,listbox,1352728835 [CLS:CDlgContext] Type=0 HeaderFile=mfcext.h ImplementationFile=mfcext.cpp BaseClass=CDialog Filter=D VirtualFilter=dWC LastObject=CDlgContext